Skip to main content
Log in

A Survey and Recent Advances: Machine Intelligence in Electronic Testing

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Integrated circuit (IC) testing presents complex problems that for large circuits are exceptionally difficult to solve by traditional computing techniques. To deal with unmanageable time complexity, engineers often rely on human “hunches" and “heuristics" learned through experience. Training computers to adopt these human skills is referred to as machine intelligence (MI) or machine learning (ML). This survey examines applications of such methods to test analog, radio frequency (RF), digital, and memory circuits. It also summarizes ML applications to hardware security and emerging technologies, highlighting challenges and potential research directions. The present work is an extension of a recent paper from IEEE VLSI Test Symposium (VTS’21), and includes recent applications of artificial neural network (ANN) and principal component analysis (PCA) to automatic test pattern generation (ATPG).

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

Data Availability

Data sharing not applicable to this article as no datasets were generated or analyzed during the current study.

References

  1. Abdallah L, Stratigopoulos H, Kelma C, Mir S (2010) Sensors for built-in alternate RF test. In Proc. 15th IEEE European Test Symposium (ETS), pp. 49–54

  2. Acar E, Ozev S (2008) Defect-oriented testing of RF circuits. IEEE Trans Comput Aided Des Integr Circuits Syst 27(5):920–931

    Article  Google Scholar 

  3. Adams RD (2003) High performance memory testing. Frontiers in Electronic Testing Book Series, Springer

  4. Agrawal VD, Mercer MR (1985) Testability measures – what do they tell us? In Proc. International Test Conf., (Philadelphia, PA), pp. 391–396

  5. Akbay SS, Torres JL, Rumer JM, Chatterjee A, Amtsfield J (2006) Alternate test of RF front ends with IP constraints: frequency domain test generation and validation. In Proc. IEEE International Test Conference, pp. 1–10

  6. Ankerst M, Breunig MM, Kriegel HP, Sander J (1999) OPTICS: Ordering points to identify the clustering structure. In Proceedings of the 1999 ACM SIGMOD International Conference on Management of Data, SIGMOD ’99, (New York, NY, USA), Association for Computing Machinery, p. 49-60

  7. Baldi P (2012) Autoencoders, unsupervised learning, and deep architectures. In Guyon I, Dror G, Lemaire V, Taylor G and Silver D, editors, Proceedings of ICML Workshop on Unsupervised and Transfer Learning, volume 27 of Proceedings of Machine Learning Research, (Bellevue, Washington, USA), PMLR, pp. 37–49

  8. Banerjee S, Chaudhuri A, Chakrabarty K (2020) Analysis of the impact of process variations and manufacturing defects on the performance of carbon-nanotube FETs. IEEE Trans Very Large Scale Integr VLSI Syst 28(6):1513–1526

    Article  Google Scholar 

  9. Barragan MJ, Stratigopoulos H, Mir S, Le-Gall H, Bhargava N, Bal A (2016) Practical simulation flow for evaluating analog/mixed-signal test techniques. IEEE Des Test 33(6):46–54

    Article  Google Scholar 

  10. Bateson J (1985) In-circuit testing. Van Nostrand Reinhold Company, New York

    Book  Google Scholar 

  11. Becker B, Drechsler R, Eggersgluess S, Sauer M (2014) Recent advances in SAT-based ATPG: Non-standard fault models, multi constraints and optimization. In Proc. 9th IEEE International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS), pp. 1–10

  12. Bennetts RG, Maunder CM, Robinson GD (1981) CAMELOT: A computer-aided measure for logic testability. IEE Proceedings E - Computers and Digital Techniques 128(5):177–189

    Article  Google Scholar 

  13. Bhattacharya S, Chatterjee A (2006) A DFT approach for testing embedded systems using DC sensors. IEEE Des Test Comput 23(6):464–475

    Article  Google Scholar 

  14. Bhunia S, Tehranipoor M (2018) Hardware security: A hands-on learning approach, 1st edn. Morgan Kaufmann

    Google Scholar 

  15. Bishop C (2006) Pattern recognition and machine learning. Springer Publishing Company, Incorporated

    Google Scholar 

  16. Brglez F (1984) On testability analysis of combinational circuits. Proc International Symp Circuits and Systems 221–225

  17. Brglez F, Fujiwara H (1985) A neutral netlist of 10 combinational benchmark circuits and a targeted translator in FORTRAN. Proceedings of the IEEE Int. Symposium on Circuits and Systems (ISCAS), pp. 677–692

  18. Bushnell ML, Agrawal VD (2013) Essentials of electronic testing for digital, memory and mixed-signal VLSI circuits. Springer Publishing Company, Incorporated

    Google Scholar 

  19. Bushnell ML, Giraldi J (1997) A functional decomposition method for redundancy identification and test generation. J Electronic Testing 10:175–195

    Article  Google Scholar 

  20. Chakradhar ST (1991) Neural network models and optimization methods for digital testing. PhD thesis, Rutgers University, USA

  21. Chakradhar ST, Agrawal VD (1991) A transitive closure based algorithm for test generation. In Proceedings of the 28th ACM/IEEE Design Automation Conference, DAC ’91, pp. 353–358

  22. Chakradhar ST, Agrawal VD, Bushnell ML (1991) Neural models and algorithms for digital testing. Springer

    Book  Google Scholar 

  23. Chakradhar ST, Agrawal VD, Rothweiler SG (1993) A transitive closure algorithm for test generation. IEEE Trans Comput Aided Des Integr Circuits Syst 12(7):1015–1028

    Article  Google Scholar 

  24. Chaudhuri A, Banerjee S, Park H, Kim J, Murali G, Lee E, Kim D, Lim SK, Mukhopadhyay S, Chakrabarty K (2020) Advances in design and test of monolithic 3-D ICs. IEEE Des Test 37(4):92–100

    Article  Google Scholar 

  25. Chen HH, Ling DD (1997) Power supply noise analysis methodology for deep-submicron VLSI chip design. In Proceedings of the 34th Annual Design Automation Conference, p. 638-643

  26. Chen S, Zhang Y, Hou X, Shang Y, Yang P (2022) Wafer map failure pattern recognition based on deep convolutional neural network. Expert Syst Appl 209:118254

    Article  Google Scholar 

  27. Cheng KT (1991) On removing redundancy in sequential circuits. In Proceedings of the 28th ACM/IEEE Design Automation Conference (DAC), 1991, pp. 164–169

  28. Cheng KT, Agrawal VD (1989) Unified methods for VLSI simulation and test generation. Springer

    Google Scholar 

  29. Cheng W, Tian Y, Reddy SM (2017) Volume diagnosis data mining. in Proc. 22nd IEEE European Test Symposium (ETS), pp. 1–10

  30. Chern M, Lee SW, Huang SY, Huang Y, Veda G, Tsai KHH, Cheng WT (2019) Improving scan chain diagnostic accuracy using multi-stage artificial neural networks. In Proceedings of the 24th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 341–346

  31. Cimino M, Lapuyade H, De Matos M, Taris T, Deval Y, Begueret JB (2006) A robust 130nm-CMOS built-in current sensor dedicated to RF applications. In Proc. Eleventh IEEE European Test Symposium (ETS’06), pp. 151–158

  32. Corno F, Reorda MS, Squillero G (2000) RT-level ITC’99 benchmarks and first ATPG results. IEEE Des Test Comput 17:44–53

    Article  Google Scholar 

  33. Cox DR (1958) The regression analysis of binary sequences. J R Stat Soc B Methodol 20(2):215–242

    MathSciNet  Google Scholar 

  34. Daasch WR, Madge R (2005) Data-driven models for statistical testing: measurements, estimates and residuals. In Proc. IEEE International Test Conference, pp. 10 pp.–322

  35. Day JR (1985) A fault-driven, comprehensive redundancy algorithm. IEEE Des Test Comput 2(3):35–44

    Article  MathSciNet  Google Scholar 

  36. Dillon WR, Goldstein M (1984) Multivariate analysis: Methods and applications. Wiley Publishing Company, Incorporated

    Google Scholar 

  37. Ellouz S, Gamand P, Kelma C, Vandewiele B, Allard B, Combining internal probing with artificial neural networks for optimal RFIC testing. In Proc. IEEE International Test Conference, pp. 1–9

  38. Evans RC (1981) Testing repairable RAMs and mostly good memories. In Proceedings International Test Conference, pp. 49–55

  39. Fagot C, Girard P, Landrault C (1997) On using machine learning for logic BIST. In Proc. IEEE International Test Conference, pp. 338–346

  40. Ferrario J, Wolf R, Moss S, Slamani M (2003) A low-cost test solution for wireless phone RFICs. IEEE Commun Mag 41(9):82–88

    Article  Google Scholar 

  41. Freund Y, Schapire RE (1997) A decision-theoretic generalization of on-line learning and an application to boosting. J Comput Syst Sci 55(1):119–139

    Article  MathSciNet  Google Scholar 

  42. Fuchs WK, Chang MF (1989) Diagnosis and repair of large memories: a critical review and recent results, pp. 213–225. Boston, MA: Springer US

  43. Fujiwara H, Shimono T (1983) On the acceleration of test generation algorithms. IEEE Trans Comput C–32(12):1137–1144

    Article  Google Scholar 

  44. Garey MR, Johnson DS (1990) Computers and intractability. A guide to the theory of NP-completeness. W. H, Freeman and Co

    Google Scholar 

  45. Gers FA, Schraudolph NN, Schmidhuber J (2003) Learning precise timing with LSTM recurrent networks. J Mach Learn Res 3(null)115-143

  46. Girard P, Nicolici N, Wen X, editors (2010) Power-aware testing and test strategies for low power devices. Springer

  47. Gómez LR, Wunderlich H (2016) A neural-network-based fault classifier. In Proc. IEEE 25th Asian Test Symposium (ATS), pp. 144–149

  48. Goel P (1981) An implicit enumeration algorithm to generate tests for combinational logic circuits. IEEE Trans Comput C–30(3):215–222

    Article  Google Scholar 

  49. Goldstein L (1979) Controllability/observability analysis of digital circuits. IEEE Transactions on Circuits and Systems, vol. CAS-26, no. 9, pp. 685–693, Sept. 1979

  50. Gómez LR, Cook A, Indlekofer T, Hellebrand S, Wunderlich HJ (2014) Adaptive bayesian diagnosis of intermittent faults. J Electron Test 30(5):527–540

    Article  Google Scholar 

  51. Gopalan A, Margala M, Mukund PR (2005) A current based self-test methodology for RF front-end circuits. Microelectron J 36(12):1091–1102

    Article  Google Scholar 

  52. Guin U, DiMase D, Tehranipoor M (2014) Counterfeit integrated circuits: Detection, avoidance, and the challenges ahead. J Electron Test Theory Appl 30(1):9–23

    Article  Google Scholar 

  53. Guyon I, Elisseeff A (2003) An introduction to variable and feature selection. J Mach Learn Res 3(null)1157-1182

  54. Haddad RW, Dahbura AT, Sharma AB (1991) Increased throughput for the testing and repair of RAMs with redundancy. IEEE Trans Comput 40(2):154–166

    Article  MathSciNet  Google Scholar 

  55. Hafed MM, Abaskharoun N, Roberts GW (2002) A 4-GHz effective sample rate integrated test core for analog and mixed-signal circuits. IEEE J Solid-State Circuits 37(4):499–514

    Article  Google Scholar 

  56. Harrison RR, Bragg JA, Hasler P, Minch BA, Deweerth SP (2001) A CMOS programmable analog memory-cell array using floating-gate circuits. IEEE Trans Circuits Syst II Analog Digit Signal Process 48(1):4–11

    Article  Google Scholar 

  57. Hartigan JA, Wong MA (1979) Algorithm AS 136: A k-means clustering algorithm. J Roy Stat Soc 28:100–108

    Google Scholar 

  58. Hasan N, Liu CL (1988) Minimum fault coverage in reconfigurable arrays. In Digest of Papers 18th International Symposium on Fault-Tolerant Computing, pp. 348–353

  59. Hasler P, Lande TS (2001) Overview of floating-gate devices, circuits, and systems. IEEE Trans Circuits Syst II Analog Digit Signal Process 48(1):1–3

    Article  Google Scholar 

  60. Hayes JP, Friedman AD (1974) Test point placement to simplify fault detection. IEEE Trans Comput C–23(7):727–735

    Article  Google Scholar 

  61. Haykin SS (2009) Neural networks and learning machines. Pearson Education, third edition, Upper Saddle River, NJ

    Google Scholar 

  62. Hearst MA, Dumais ST, Osuna E, Platt J, Schölkopf B (1998) Support vector machines. 13:18–28

  63. Henftling M, Wittmann H, Antreich KJ (1995) A formal non-heuristic ATPG approach. Proceedings of the Conference on European Design Automation, pp. 248–253

  64. Ho TK (1995) Random decision forests. in Proc International Conference on Document Analysis and Recognition (ICDAR), pp. 278–282

  65. Ho TK (1995) Random decision forests. In Proceedings of 3rd International Conference on Document Analysis and Recognition, volume 1, pp. 278–282 vol. 1

  66. Hoehfeld M, Fahlman SE (1992) Probabilistic rounding in neural network learning with limited precision. Neurocomputing 4(6):291–299

    Article  Google Scholar 

  67. Holler MA, Tam SM, Castro HA, Benson R (1989) An electrically trainable artificial neural network (ETANN) with 10240 ’floating gate’ synapses. In Proc. International Joint Conference on Neural Networks, pp. 191–196

  68. Hopfield J, Tank D (2004) Neural computation of decisions in optimization problems. Biol Cybern 52:141–152

    Article  Google Scholar 

  69. Hotelling H (1933) Analysis of a complex of statistical variables into principal components. J Educ Psychol 24(6):417–441

    Article  Google Scholar 

  70. Huang Q, Fang C, Mittal S, Blanton RD (2018) Improving diagnosis efficiency via machine learning. In Proc. IEEE International Test Conference (ITC), pp. 1–10

  71. Huang Y, Benware B, Klingenberg R, Tang H, Dsouza J, Cheng WT (2017) Scan chain diagnosis based on unsupervised machine learning. In 2017 IEEE 26th Asian Test Symposium (ATS), pp. 225–230

  72. Huang Y, Guo R, Cheng W, Li JC (2008) Survey of scan chain diagnosis. IEEE Design Test Comput 25(3):240–248

    Article  Google Scholar 

  73. Huang Y, Hsieh H, Lu L (2007) A low-noise amplifier with integrated current and power sensors for RF BIST applications. In Proc. 25th IEEE VLSI Test Symposium (VTS’07), pp. 401–408

  74. Huisman LM, Kassab M, Pastel L (2004) Data mining integrated circuit fails with fail commonalities. In Proc. International Test Conference, pp. 661–668

  75. Immanuel J, Millican SK (2020) Calculating signal controllability using neural networks: improvements to testability analysis and test point insertion. In Proc. IEEE 29th North Atlantic Test Workshop (NATW), pp. 1–6

  76. Jabri M, Flower B (1991) Weight perturbation: An optimal architecture and learning technique for analog VLSI feedforward and recurrent multilayer networks. Neural Comput 3(4):546–565

    Article  Google Scholar 

  77. Jain SK, Agrawal VD (1985) Statistical fault analysis. IEEE Design Test Comput 2:38–44

    Article  Google Scholar 

  78. Jensen F, Petersen NE (1982) Burn-in. John Wiley & Sons Inc, Chichester, UK

    Google Scholar 

  79. Jiang YM, Cheng KT (1999) Analysis of performance impact caused by power supply noise in deep submicron devices. In Proceedings Design Automation Conference, pp. 760–765

  80. Jin S, Ye F, Zhang Z, Chakrabarty K, Gu X (2016) Efficient board-level functional fault diagnosis with missing syndromes. IEEE Trans Comput Aided Des Integr Circuits Syst 35(6):985–998

    Article  Google Scholar 

  81. Kaufman L, Rousseeuw PJ (2008) Partitioning around medoids (Program PAM), pp. 68–125. John Wiley & Sons, Inc

  82. Kelly J, Engelhardt M (2007) Advanced production testing of RF, SoC, and SiP devices. Artech House Inc, Boston

    Google Scholar 

  83. Butler KM, Carulli Jr JM, Saxena J, Vasavada AP (2011) System and method for estimating test escapes in integrated circuits. U.S. Patent 7865849B2

  84. Kirkland T, Mercer MR (1987) A topological search algorithm for ATPG. Proceedings of the 24th ACM/IEEE Design Automation Conference, pp. 502–508

  85. Kohonen T (2002) The self-organizing map. Proc IEEE 78(9):1464–1480

    Article  Google Scholar 

  86. Koosh VF, Goodman RM (2002) Analog VLSI neural network with digital perturbative learning. IEEE Trans Circuits Syst II Analog  Digit Signal Process 49(5):359–368

    Article  Google Scholar 

  87. Krishnamurthy B (1987) Hierarchical test generation: Can AI help? In Proc, International Test Conf

    Google Scholar 

  88. Kunz W, Pradhan DK (1992) Recursive learning: An attractive alternative to the decision tree for test generation in digital circuits. In Proceedings of the IEEE International Test Conference, pp. 816–825

  89. Kuo S, Fuchs WK (1987) Efficient spare allocation for reconfigurable arrays. IEEE Des Test Comput 4(1):24–31

    Article  Google Scholar 

  90. Laaksonen J, Oja E (1996) Classification with learning k-nearest neighbors. In Proc. International Conference on Neural Networks (ICNN) 3:1480–1483

    Article  Google Scholar 

  91. Larrabee T (1989) Efficient generation of test patterns using Boolean difference. In Proceedings International Test Conference, pp. 795–801

  92. Larrabee T (1992) Test pattern generation using boolean satisfiability. IEEE Trans CAD 11(1):4–15

    Article  Google Scholar 

  93. Li YH, Lien WC, Lin IC, Lee KJ (2014) Capture-power-safe test pattern determination for at-speed scan-based testing. IEEE Trans Comput Aided Des Integr Circuits Syst 33(1):127–138

    Article  Google Scholar 

  94. Li Z, Colburn JE, Pagalone V, Narayanun K, Chakrabarty K (2017) Test-cost optimization in a scan-compression architecture using support-vector regression. In Proc. IEEE 35th VLSI Test Symposium (VTS), pp. 1–6

  95. Linares-Barranco B, Serrano-Gotarredona T, Serrano-Gotarredona R (2003) Compact low-power calibration mini-DACs for neural arrays with programmable weights. IEEE Trans Neural Netw 14(5):1207–1216

    Article  Google Scholar 

  96. Littlestone N, Warmuth MK (1989) The weighted majority algorithm. In Proc. 30th Annual Symposium on Foundations of Computer Science, pp. 256–261

  97. Liu Y, Han C, Lin S, Li JC (2017) PSN-aware circuit test timing prediction using machine learning. IET Comput Digit Tech 11(2):60–67

    Article  Google Scholar 

  98. Lombardi F, Huang WK (1988) Approaches for the repair of VLSI/WSI RRAMs by row/column deletion. In Digest of Papers, 18th International Symposium on Fault-Tolerant Computing, pp. 342–347

  99. Lont JB, Guggenbuhl W (1992) Analog CMOS implementation of a multilayer perceptron with nonlinear synapses. IEEE Trans Neural Netw 3(3):457–465

    Article  Google Scholar 

  100. Ma Y, Ren H, Khailany B, Sikka H, Luo L, Natarajan K, Yu B (2019) High performance graph convolutional networks with applications in testability analysis. In Proc. 56th ACM/IEEE Design Automation Conference (DAC), pp. 1–6

  101. Maliuk D, Stratigopoulos HG, Huang H, Makris Y (2010) Analog neural network design for RF built-in self-test. In Proc. International Test Conference (ITC), pp. 23.2.1–23.2.10

  102. Manzini A, Inglese P, Caldi L, Cantero R, Carnevale G, Coppetta M, Giltrelli M, Mautone N, Irrera F, Ullmann R, Bernardi P (2019) A machine learning-based approach to optimize repair and increase yield of embedded flash memories in automotive systems-on-chip. In Proc. IEEE European Test Symposium (ETS), pp. 1–6

  103. Marques Silva JP, Sakallah KA (1996) GRASP - a new search algorithm for satisfiability. In Proceedings of International Conference on Computer Aided Design, pp. 220–227

  104. Mateo D, Altet J, Aldrete-Vidrio E (2007) Electrical characterization of analogue and RF integrated circuits by thermal measurements. Microelectron J 38(2):151–156

    Article  Google Scholar 

  105. Mazumder P, Jih YS (1993) A new built-in self-repair approach to VLSI memory yield enhancement by using neural-type circuits. IEEE Trans Comput Aided Des Integr Circuits Syst 12(1):124–136

    Article  Google Scholar 

  106. Milev M, Hristov M (2003) Analog implementation of ANN with inherent quadratic nonlinearity of the synapses. IEEE Trans Neural Netw 14(5):1187–1200

    Article  Google Scholar 

  107. Millican S, Sun Y, Roy S, Agrawal V (2021) System and method for optimizing fault coverage based on optimized test point insertion determinations for logical circuits. U.S. Patent 17226950

  108. Millican SK, Sun Y, Roy S, Agrawal VD (2019) Applying neural networks to delay fault testing: test point insertion and random circuit training. In Proc. IEEE 28th Asian Test Symposium (ATS), pp. 13–18

  109. Moness M, Gabor L, Hussein AI, Ali HM (2022) Automated design error debugging of digital VLSI circuits. J Electron Test Theory Appl 38(4):395–417

    Article  Google Scholar 

  110. Montalvo AJ, Gyurcsik RS, Paulos JJ (1997) Toward a general-purpose analog VLSI neural network with on-chip learning. IEEE Trans Neural Netw 8(2):413–423

    Article  Google Scholar 

  111. Montgomery DC, Peck EA, Vining GG (2012) Introduction to linear regression analysis. Wiley Publishing Company, Incorporated

    Google Scholar 

  112. Najafi-Haghi ZP, Wunderlich H (2023) Identifying resistive open defects in embedded cells under variations. J Electron Test Theory Appl 39(1):27–40

    Article  Google Scholar 

  113. O’Farrill C, Moakil-Chbany M, Eklow B (2005) Optimized reasoning-based diagnosis for non-random, board-level, production defects. in Proc. IEEE International Test Conference, pp. 1–7 (Paper 8.2)

  114. Ooi MP, Kwang Joo Sim E, Kuang YC, Kleeman L, Chan C, Demidenko S (2010) Automatic defect cluster extraction for semiconductor wafers. In Proc. IEEE Instrumentation Measurement Technology Conference Proceedings, pp. 1024–1029

  115. Patel J, Patel S (1985) What heuristics are best for PODEM?. In Proc. First International Workshop on VLSI Design, pp. 1–20

  116. Patel S, Patel J (1986) Effectiveness of heuristics measures for automatic test pattern generation. In Proc. 23rd ACM/IEEE Design Automation Conference (DAC), pp. 547–552

  117. Pearson K (1901) On lines and planes of closest fit to systems of points in space. The London, Edinburgh, and Dublin Philosophical Magazine and Journal of Science 2(11):559–572

    Article  Google Scholar 

  118. Peng H, Long F, Ding C (2005) Feature selection based on mutual information criteria of max-dependency, max-relevance, and min-redundancy. IEEE Trans Pattern Anal Mach Intell 27(8):1226–1238

    Article  Google Scholar 

  119. Pradhan M, Bhattacharya BB (2020) A survey of digital circuit testing in the light of machine learning. WIREs Data Mining Knowl Discov 1–18

  120. Pradhan M, Bhattacharya BB, Chakrabarty K, Bhattacharya BB (2019) Predicting \({X}\)-sensitivity of circuit-inputs on test-coverage: A machine-learning approach. IEEE Trans Comput Aided Des Integr Circuits Syst 38(12):2343–2356

    Article  Google Scholar 

  121. Quinlan JR (1986) Induction of decision trees. Mach Learn 1(1):81–106

    Article  Google Scholar 

  122. Roberts MW, Lala PK (1987) Algorithm to detect reconvergent fanouts in logic circuits. IEE Proceedings E - Computers and Digital Techniques 134(2):105–111

    Article  Google Scholar 

  123. Roth JP, Bouricius WG, Schneider PR (1967) Programmed algorithms to compute tests to detect and distinguish between failures in logic circuits. IEEE Transactions on Electronic Computers EC–16(5):567–580

    Article  MathSciNet  Google Scholar 

  124. Roy S (2021) Toward zero backtracks in test pattern search algorithms with machine learning. PhD thesis, Auburn University, USA

  125. Roy S, Agrawal VD (2024) An amalgamated testability measure derived from machine intelligence. in Proceedings of 37th International Conference on VLSI Design & 23rd International Conference on Embedded Systems

  126. Roy S, Millican SK, Agrawal VD (2020) Machine intelligence for efficient test pattern generation. In Proceedings of the IEEE International Test Conference, (Washington D.C), pp. 1–5

  127. Roy S, Millican SK, Agrawal VD (2021) Principal component analysis in machine intelligence-based test generation. In Proc. IEEE Microelectronics Design and Test Symp. (MDTS’21), (USA), pp. 1–6

  128. Roy S, Millican SK, Agrawal VD (2021) Special session - machine learning in test: A survey of analog, digital, memory, and RF integrated circuits. In Proc. IEEE VLSI Test Symp. (VTS’21), (USA), Apr. 2021, pp. 1–10

  129. Roy S, Millican SK, Agrawal VD (2021) Training neural network for machine intelligence in automatic test pattern generator. In Proceedings of 34th International Conference on VLSI Design & 20th International Conference on Embedded Systems, pp. 316–321

  130. Roy S, Millican SK, Agrawal VD (2021) Unsupervised learning in test generation for digital integrated circuits. In Proceedings of the IEEE European Test Symposium, pp. 1–4

  131. Roy S, Millican SK, Agrawal VD (2022) Multi-heuristic machine intelligence guidance in automatic test pattern generation. In Proc. 31st Microelectronics Design and Test Symposium (MDTS), pp. 1–6

  132. Roy S, Stiene B, Millican SK, Agrawal VD (2019) Improved random pattern delay fault coverage using inversion test points. In Proc. IEEE 28th North Atlantic Test Workshop (NATW), pp. 206–211

  133. Roy S, Stiene B, Millican SK, Agrawal VD (2020) Improved pseudo-random fault coverage through inversions: A study on test point architectures. J Electron Testing Theory Applic 36(1):123–133

    Article  Google Scholar 

  134. Ryu JY, Kim BC (2005) Low-cost testing of 5 GHz low noise amplifiers using new RF BIST circuit. J Electron Test Theory Appl 21(6):571–581

    Article  Google Scholar 

  135. Savir (1983) Good controllability and observability do not guarantee good testability. IEEE Transactions on Computers C-32(12)

  136. Schölkopf B, Smola AJ, editors (2001) Learning with kernels: support vector machines, regularization, optimization, and beyond. MIT Press

  137. Schulz MH, Auth E (1988) Advanced automatic test pattern generation and redundancy identification techniques. In Digest of Papers, 18th International Symposium on Fault-Tolerant Computing, pp. 30–35

  138. Schulz MH, Auth E (1989) Improved deterministic test pattern generation with applications to redundancy identification. IEEE Trans Comput Aided Des Integr Circuits Syst 8(7):811–816

    Article  Google Scholar 

  139. Schulz MH, Trischler E, Sarfert TM (1988) SOCRATES: A highly efficient automatic test pattern generation system. IEEE Trans Comput Aided Des Integr Circuits Syst 7(1):126–137

    Article  Google Scholar 

  140. Seth SC, Agrawal VD (1989) A new model for computation of probabilistic testability in combinational circuits. Integr VLSI J 7:49–75

    Article  Google Scholar 

  141. Seth SC, Agrawal VD, Farhat H (1990) A statistical theory of digital circuit testability. IEEE Trans Comput 39(4):582–586

    Article  Google Scholar 

  142. Shan C, Babighian P, Pan Y, Carulli J, Wang L (2017) Systematic defect detection methodology for volume diagnosis: A data mining perspective. In Proc. IEEE International Test Conference (ITC), pp. 1–10

  143. Shepard KL, Narayanan V (1996) Noise in deep submicron digital design. In Proceedings of International Conference on Computer Aided Design, pp. 524–531

  144. Silva E, Pineda de Gyvez J, Gronthoud G (2005) Functional vs. multi-VDD testing of RF circuits. In Proc. IEEE International Test Conference, pp. 9–420

  145. Singh A, Bharadwaj LM, Harpreet S (2005) DNA and quantum based algorithms for VLSI circuits testing. Nat Comput 4:53–72

    Article  MathSciNet  Google Scholar 

  146. Singh S, Singh A (2003) Applying quantum search to automated test pattern generation for VLSI circuits. In Proc. 4th International Conf. on Parallel and Distributed Computing, Applications and Technologies, (Chengdu, China), pp. 648–651

  147. Singhee A, Rutenbar RA (2009) Statistical blockade: Very fast statistical simulation and modeling of rare circuit events and its application to memory design. IEEE Trans Comput Aided Des Integr Circuits Syst 28(8):1176–1189

    Article  Google Scholar 

  148. Skabar A (2003) Single-class classifier learning using neural networks: An application to the prediction of mineral deposits. In Proceedings of the 2003 International Conference on Machine Learning and Cybernetics, volume 4, pp. 2127–2132 Vol.4

  149. Stapper CH, McLaren AN, Dreckmann M (1980) Yield model for productivity optimization of VLSI memory chips with redundancy and partially good product. IBM J Res Dev 24(3):398–409

    Article  Google Scholar 

  150. Stephan P, Brayton RK, Sangiovanni-Vincentelli AL (1996) Combinational test generation using satisfiability. IEEE Trans Comput Aided Des Integr Circuits Syst 15:1167–1176

    Article  Google Scholar 

  151. Stephenson JE, Grason J (1976) A testability measure for register transfer level digital circuits. In Proc. 6th International Fault Tolerant Computing Symp, pp. 101–107

  152. Stratigopoulos H (2018) Machine learning applications in IC testing. In Proc. IEEE 23rd European Test Symposium (ETS), pp. 1–10

  153. Stratigopoulos H, Makris Y (2008) Error moderation in low-cost machine-learning-based analog/RF testing. IEEE Trans Comput Aided Des Integr Circuits Syst 27(2):339–351

    Article  Google Scholar 

  154. Stratigopoulos H, Mir S (2012) Adaptive alternate analog test. IEEE Des Test Comput 29(4):71–79

    Article  Google Scholar 

  155. Stratigopoulos H, Mir S, Acar E, Ozev S (2009) Defect filter for alternate RF test. In Proc. 14th IEEE European Test Symposium, pp. 101–106

  156. Stratigopoulos H, Mir S, Makris Y (2009) Enrichment of limited training sets in machine-learning-based analog/RF test. In Proc. Design, Automation & Test in Europe Conference & Exhibition, pp. 1668–1673

  157. Stratigopoulos H, Sunter S (2014) Fast Monte Carlo-based estimation of analog parametric test metrics. IEEE Trans Comput Aided Des Integr Circuits Syst 33(12):1977–1990

    Article  Google Scholar 

  158. Sumikawa N, Nero M, Wang L (2017) Kernel based clustering for quality improvement and excursion detection. In Proc. IEEE International Test Conference (ITC), pp. 1–10

  159. Sun Y, Millican SK (2019) Test point insertion using artificial neural networks. In Proc. IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 253–258

  160. Sun Y, Millican SK (2022) Applying artificial neural networks to logic built-in self-test: Improving test point insertion. J Electron Test Theory Appl 38(4):339–352

    Article  Google Scholar 

  161. Sun Y, Millican SK, Agrawal VD (2020) Special session: Survey of test point insertion for logic built-in self-test. In Proc. IEEE 38th VLSI Test Symposium (VTS), pp. 1–6

  162. Sun Z, Jiang L, Xu Q, Zhang Z, Wang Z, Gu X (2013) AgentDiag: An agent-assisted diagnostic framework for board-level functional failures. In Proc. IEEE International Test Conference (ITC), pp. 1–8

  163. Sun Z, Jiang L, Xu Q, Zhang Z, Wang Z, Gu X (2015) On test syndrome merging for reasoning-based board-level functional fault diagnosis. In Proc. 20th Asia and South Pacific Design Automation Conference, pp. 737–742

  164. Tafertshofer P, Ganz A, Henftling M (1997) A SAT-based implication engine for efficient ATPG, equivalence checking, and optimization of netlists. 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD), pp. 648–655

  165. Tan SC, Ting KM, Liu TF (2011) Fast anomaly detection for streaming data. IJCAI’11, AAAI Press, p. 1511-1516

  166. Tang H, Manish S, Rajski J, Keim M, Benware B (2007) Analyzing volume diagnosis results with statistical learning for yield improvement. In Proc. 12th IEEE European Test Symposium (ETS’07), pp. 145–150

  167. Tehranipoor M, Butler KM (2010) Power supply noise: A survey on effects and research. IEEE Des Test Comput 27(2):51–67

    Article  Google Scholar 

  168. Tipping ME (2004) Bayesian inference: An introduction to principles and practice in machine learning, pp. 41–62. Berlin, Heidelberg: Springer Berlin Heidelberg

  169. Valdes-Garcia A, Venkatasubramanian R, Silva-Martinez J, Sanchez-Sinencio E (2008) A broadband CMOS amplitude detector for on-chip RF measurements. IEEE Trans Instrum Meas 57(7):1470–1477

    Article  Google Scholar 

  170. Voorakaranam R, Akbay SS, Bhattacharya S, Cherubal S, Chatterjee A (2007) Signature testing of analog and RF circuits: Algorithms and methodology. IEEE Trans Circuits Syst I Regul Pap 54(5):1018–1031

    Article  Google Scholar 

  171. Wang H, Poku O, Yu X, Liu S, Komara I, Blanton RD (2012) Test-data volume optimization for diagnosis. In Proc. Design Automation Conference, pp. 567–572

  172. Wang J, Walker DMH, Majhi A, Kruseman B, Gronthoud G, Villagra LE, van de Wiel P, Eichenberger S (2006) Power supply noise in delay testing. In Proc. IEEE International Test Conference, pp. 1–10

  173. Wang S, Wei W (2009) Machine learning-based volume diagnosis. In Proc. Design, Automation & Test in Europe Conference & Exhibition, pp. 902–905

  174. Wen X, Yamashita Y, Kajihara S, Wang LT, Saluja KK, Kinoshita K (2005) On low-capture-power test generation for scan testing. In Proc. 23rd IEEE VLSI Test Symposium (VTS), pp. 265–270

  175. Wey CL, Lombardi F (1987) On the repair of redundant RAM’s. IEEE Trans Comput Aided Des Integr Circuits Syst 6(2):222–231

    Article  Google Scholar 

  176. Xanthopoulos C, Sarson P, Reiter H, Makris Y (2017) Automated die inking: A pattern recognition-based approach. In Proc. IEEE International Test Conference (ITC), pp. 1–6

  177. Xiao Y, Huang X, Liu K (2021) Model transferability from imagenet to lithography hotspot detection. J Electronic Testing Theory Applications 37(1):141–149

    Article  Google Scholar 

  178. Xue Y, Poku O, Li X, Blanton RD (2013) PADRE: Physically-aware diagnostic resolution enhancement. In Proc. IEEE International Test Conference (ITC), pp. 1–10

  179. Ye F, Firouzi F, Yang Y, Chakrabarty K, Tahoori MB (2014) On-chip voltage-droop prediction using support-vector machines. In Proc. IEEE 32nd VLSI Test Symposium (VTS), pp. 1–6

  180. Ye F, Zhang Z, Chakrabarty K, Gu X (2013) Board-level functional fault diagnosis using artificial neural networks, support-vector machines, and weighted-majority voting. IEEE Trans Comput Aided Des Integr Circuits Syst 32(5):723–736

    Article  Google Scholar 

  181. Ye F, Zhang Z, Chakrabarty K, Gu X (2014) Board-level functional fault diagnosis using multikernel support vector machines and incremental learning. IEEE Trans Comput Aided Des Integr Circuits Syst 33(2):279–290

    Article  Google Scholar 

  182. Zhang W, Goh AT (2016) Multivariate adaptive regression splines and neural network models for prediction of pile drivability. Geoscience Frontiers 7(1)45–52. Special Issue: Progress of Machine Learning in Geosciences

  183. Zhang Y, Agrawal VD (2010) A diagnostic test generation system. In Proc. IEEE International Test Conference (ITC), pp. 12.3.1–12.3.9

  184. Zhang Z, Chakrabarty K, Wang Z, Wang Z, Gu X (2011) Smart diagnosis: Efficient board-level diagnosis and repair using artificial neural networks. In Proc. International Test Conference, pp. 1–9

  185. Zhang Z, Gu X, Xie Y, Wang Z, Wang Z, Chakrabarty K (2012) Diagnostic system based on support-vector machines for board-level functional diagnosis. In Proc. 17th IEEE European Test Symposium (ETS), pp. 1–6

  186. Zhou Z, Guin U, Li P, Agrawal VD (2021) Defect characterization and testing of skyrmion-based logic circuits. In Proc. IEEE VLSI Test Symp. (VTS’21), (USA), pp. 1–7

  187. Zhou Z, Guin U, Li P, Agrawal VD (2022) Fault modeling and test generation for technology-specific defects of skyrmion logic circuits. In Proc. IEEE VLSI Test Symp. (VTS’22), (USA), pp. 1–7

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Soham Roy.

Ethics declarations

Conflict of Interest

We declare that we have no financial and personal relationships with other people or organizations that can inappropriately influence our work, there is no professional or other personal interest of any nature or kind in any product, service and/or company that could be construed as influencing the position presented in, or the review of, the manuscript entitled. Also, one of our co-authors is an editor of the journal.

Additional information

Responsible Editor: H.-G. Stratigopoulos

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Roy, S., Millican, S.K. & Agrawal, V.D. A Survey and Recent Advances: Machine Intelligence in Electronic Testing. J Electron Test (2024). https://doi.org/10.1007/s10836-024-06117-7

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s10836-024-06117-7

Keywords

Navigation